{$cfg_webname}
主页 > 电子信息 > 通信 >

基于FPGA的移相PWM波形发生器设计(附程序)(2)

来源:56doc.com  资料编号:5D5603 资料等级:★★★★★ %E8%B5%84%E6%96%99%E7%BC%96%E5%8F%B7%EF%BC%9A5D5603
资料以网页介绍的为准,下载后不会有水印.资料仅供学习参考之用. 帮助
资料介绍

目   次 
1  绪论……………………………………………………………………………………………… 1
1.1课题的背景及热点问题…………………………………………………………………… 1
1.2国内外发展现状……………………………………………………………………………  2
1.3课题研究内容………………………………………………………………………………  3
 1.3.1PWM技术…………………………………………………………………………………… 3
 1.3.2FPGA的发展……………………………………………………………………………… 4
2  移相PWM总体设计方案……………………………………………………………………… 6
2.1移相PWM发生器设计思路………………………………………………………… 6
2.2硬件总体设计………………………………………………………………………………  7
 2.2.1外围框图………………………………………………………………………………… 7
 2.2.2串口………………………………………………………………………………………  8
 2.2.3FPGA芯片结构…………………………………………………………………………… 8
2.3本章小结……………………………………………………………………………………… 9
3  软件总体设计方案…………………………………………………………………  9
3.1FPGA设计流程图……………………………………………………………………9
3.2实现移相PWM软件方框图…………………………………………………………10
3.3功能模块设计…………………………………………………………………… 10
 3.3.1开关频率与分频系数选择模块……………………………………………  10
 3.3.2分频器模块……………………………………………………………………12
 3.3.3延时模块和相位模块…………………………………………………………13
3.4本章小结………………………………………………………………………… 14
4  仿真与调试………………………………………………………………………… 14
 4.1仿真结果………………………………………………………………………… 14
 4.2本章小结………………………………………………………………………… 16
结论 ………………………………………………………………………………… 17
参考文献………………………………………………………………………………18
致谢………………………………………………………………………………19
附录A………………………………………………………………………………… 20
 

推荐资料