{$cfg_webname}
主页 > 电子信息 > 电子 >

基于FPGA的图像处理滤波器的实现

来源:56doc.com  资料编号:5D21120 资料等级:★★★★★ %E8%B5%84%E6%96%99%E7%BC%96%E5%8F%B7%EF%BC%9A5D21120
资料以网页介绍的为准,下载后不会有水印.资料仅供学习参考之用. 帮助
资料介绍

基于FPGA的图像处理滤波器的实现(论文13000字,参考程序)
摘要:当代社会数字图像处理发展十分迅速,发挥着至关重要的作用.随着科技水平的不断发展,数字图像处理的速度与质量上的要求逐渐提高。在软件与硬件上都可进行数字图像处理,软件处理方法较为灵活方便,但在实时性上不能满足有些系统的要求,硬件处理方法得到了更多重视。FPGA能进行实时流水线计算,支持并行指令操作,在图像处理的速度上有着较大的优越性,所以FPGA成为了用硬件进行实时图像处理的良好选择。本文以FPGA为平台,实现了中值滤波与边缘检测两种算法,在Altera公司的软件Quartus II和 Mentor公司的软件ModelSim中进行了开发,并且进行了逻辑综合与仿真。从结果中可以看出,利用FPGA进行图像处理,相对于用软件进行图像处理,不仅在速度上有着很大的优势,处理后的效果也很好,可以达到实时图像处理对速度与质量的的要求。
关键词:FPGA;图像处理;Verilog HDL;中值滤波;边缘检测

FPGA-based image processing filter
Abstract :The development of digital image processing in contemporary society is very rapid and plays a vital role. With the continuous development of technology, the speed and quality requirements of digital image processing are gradually increasing. Digital image processing can be performed on both software and hardware. The software processing method is more flexible and convenient, but it can not meet the requirements of some systems in real time. The hardware processing method has received more attention. FPGA can perform real-time pipeline calculation, support parallel instruction operation, and has great advantages in image processing speed. Therefore, FPGA has become a good choice for real-time image processing with hardware. In this paper, the FPGA is used as the platform to implement the median filtering and edge detection algorithms. It is developed in Altera's software Quartus II and Mentor's software ModelSim, and the logic synthesis and simulation are carried out. It can be seen from the results that using FPGA for image processing has a great advantage in speed compared to image processing with software, and the effect after processing is also very good, which can achieve real-time image processing on speed and quality.
Keywords: FPGA; Verilog HDL ;image processing ;median filter; Edge Detection

本文主要研究了FPGA图像处理算法的开发流程和实现方法,在Altera公司的Quartus II和 Mentor公司的仿真软件ModelSim中,通过Verilog语言实现了中值滤波与边缘检测算法,给出了这两种图像处理算法的硬件方案,并附上了模块仿真图和一部分Verilog源程序。最后将FPGA图像处理与MATLAB图像处理的性能与效果做出了比较。
 

基于FPGA的图像处理滤波器的实现
基于FPGA的图像处理滤波器的实现


目 录
1 绪论    1
1.1背景    1
1.2图像处理技术的现状    1
1.3 FPGA图像处理的发展现状    2
1.4本文的主要研究内容    3
2 FPGA技术    4
2.1硬件描述语言(HDL)简介    4
2.1.1 HDL简介    4
2.1.2 Verilog HDL简介    4
2.1.3 VHDL简介    4
2.2 FPGA简介    5
2.2.1 FPGA内部结构    5
2.2.2 FPGA基本工作原理    5
2.2.3基于Quartus II的FPGA开发流程    6
2.2.4基于Modelsim的仿真流程    6
3 经典图像处理算法    8
3.1矩形窗    8
3.2中值滤波    8
3.3边缘检测    9
3.3.1 索贝尔算子 (Sobel operator)    9
3.3.2普利维特算子(Prewitt operate)    10
3.3.3罗伯茨交叉边缘检测(Roberts Cross operator)    10
4 基于FPGA的图像处理算法实现    12
4.1滤波器总体硬件设计方案    12
4.1.1 3*3矩形窗生成模块    12
4.1.2行列计数器模块    14
4.2中值滤波算法模块    14
4.3边缘检测算法模块    16
4.4 性能分析    18
5 总结与展望    21
5.1本文的主要工作总结    21
5.2下一步工作的展望    21
参考文献:    23
致谢    25

 

推荐资料